본문 바로가기

IntelFPGA

[ModelSim-IntelFPGA](vcom-1436) Actual expression (infix expression) of formal "a" is not globally static.

ModelSim 컴파일 에러

(vcom-1436) Actual expression (infix expression) of formal "a" is not globally static.

에러난 위치를 확인해 보면 아래와 같습니다.

a0, a1, a2, a3 신호를 concatenate 하여 a 로 연결하는 문장에서 에러가 난 것을 확인할 수 있습니다.

 

해결방법으로 두 가지를 변경해 줘야 합니다.

1. Quartus Project Setting 의 Compiler Settings -> VHDL Input -> VHDL version 값을 VHDL 2008 로 변경해 줍니다.

2. ModelSim-IntelFPGA 실행 후 Compile Options 에서 VHDL Tab Menu 의 Language Syntax 를 "Use 1076-2008" 로 변경해줍니다.

아래와 같이 에러가 사라진 것을 확인할 수 있습니다.

정리된 내용은 아래에 링크된 글을 참조한 것입니다. 

https://stackoverflow.com/questions/50392187/the-globally-static-error-modelsim-vs-quartus

 

The globally static error (modelsim vs quartus)

This simple test leads to an error while compiling with modelsim whereas Quartus is fine to go through the whole synthesis/fitter process. library ieee; use ieee.std_logic_1164.all; entity submod...

stackoverflow.com

그럼 이만....